91久久精品无码毛片国产高清

中證網
返回首頁

國產光刻機入選工信部推廣目錄 產業鏈這些上市公司“有料”

每日經濟新聞

  近期,工信(xin)微報微信(xin)公眾號發(fa)文披露《首(shou)臺(tai)(套(tao))重(zhong)大技術裝備推廣(guang)應用(yong)指導目錄(2024年版)》。其中(zhong),在電子專(zhuan)用(yong)設備一欄,氟(fu)化氪光(guang)刻機(ji)、氟(fu)化氬光(guang)刻機(ji)位(wei)列其中(zhong)。該資(zi)料顯示(shi),氟(fu)化氬光(guang)刻機(ji)具(ju)有65納(na)米以下(xia)的(de)分辨率和8納(na)米以下(xia)的(de)套(tao)刻精度。

  據東興證券研(yan)報,光(guang)刻(ke)機可分為直寫光(guang)刻(ke)機與掩膜(mo)光(guang)刻(ke)機,市場主流(liu)有i-Iine(汞線)、KrF(氟(fu)化氪(ke))、ArF(氟(fu)化氬)、ArFi(浸潤式氟(fu)化氬)、EUV(極紫(zi)外)五大類。

  此次入選推廣目錄的氟化氬光(guang)刻機(ji),應屬于干式(shi)ArF光(guang)刻機(ji)。在具體指標上(shang),與ASML旗下(xia)TWINSCAN NXT:1470 以(yi)及TWINSCAN XT:1460K類(lei)似。

  國產光刻機實力幾何?

  據(ju)工信(xin)微報發文,此次指導目錄是為促(cu)進首(shou)臺(套)重大技術(shu)裝備創新發展和推廣應用,加強產業、財政(zheng)、金(jin)融、科(ke)技等國家支持政(zheng)策的協同(tong)。

  具體(ti)來看,氟(fu)化氪(ke)光刻(ke)(ke)機(ji)晶圓直(zhi)徑為300mm(12英寸),照明波(bo)長為248mm,分(fen)(fen)辨(bian)率≤110nm,套刻(ke)(ke)≤25nm。相比之下,阿斯麥(mai)(ASML)的氟(fu)化氪(ke)光刻(ke)(ke)機(ji)型(xing)號有(you)TWINSCAN NXT:870、TWINSCAN XT:860N 和 TWINSCAN XT:860M。這(zhe)三個型(xing)號,波(bo)長均為248mm,分(fen)(fen)辨(bian)率≤110nm。

  而指導目(mu)錄中(zhong)更(geng)為(wei)(wei)先進的氟化(hua)氬(ya)光(guang)刻機,其照明波長為(wei)(wei)193mm,分(fen)辨(bian)率≤65nm,套刻≤8nm。這(zhe)一(yi)數據與ASML旗下(xia)TWINSCAN XT:1460K 最為(wei)(wei)接近。該(gai)型號(hao)照明波長為(wei)(wei)193mm,分(fen)辨(bian)率≤65nm。此外,該(gai)系統可(ke)以在偏振照明下(xia)實現低(di)至57nm的生產(chan)分(fen)辨(bian)率。

  相比之下,ASML浸(jin)潤式光刻機TWINSCAN NXT 1980Fi分辨率≤38nm。據民(min)生證券研報,該(gai)型號(hao)可實現2.5nm的套刻精(jing)度(MMO)。

  據東海證券援(yuan)引ASML官網數據,2023年(nian)各類(lei)光刻機均(jun)價為:EUV(17386萬(wan)歐(ou)元)、ArFi(7196萬(wan)歐(ou)元)、ArFdry(2742萬(wan)歐(ou)元)、KrF(1192萬(wan)歐(ou)元)、i-Iine(399萬(wan)歐(ou)元)。

  東海(hai)證券認(ren)為,目(mu)前光刻機(ji)國(guo)產化率僅為2.5%,整機(ji)技(ji)術(shu)與海(hai)外差距較大,5~10 年內90nm、28nm光刻機(ji)的研發量產較為關鍵(jian)。

  光刻機產業鏈亟須發展

  東(dong)海(hai)證券稱(cheng),根據中國國際招標網(wang)信息,半導體設備招標中,刻蝕、沉積(ji)等(deng)核心(xin)設備的國產化(hua)率(lv)獲得了較大的提(ti)升,核心(xin)在于(yu)技(ji)術(shu)上我(wo)國相(xiang)關(guan)企業已經逐步追趕上海(hai)外(wai)企業;但光刻機作為(wei)核心(xin)設備,國產化(hua)率(lv)不足3%,核心(xin)原因在于(yu)零組件供應與整(zheng)機技(ji)術(shu)與海(hai)外(wai)差距較大。

  9月18日(ri),東方財(cai)富概念板塊(kuai)中,光(guang)刻機(膠)板塊(kuai)排名靠前,板塊(kuai)整體漲(zhang)(zhang)幅達2.47%。其中,同飛股份(fen)、波長光(guang)電均收(shou)獲20%漲(zhang)(zhang)停;新萊應材上(shang)漲(zhang)(zhang)12.40%。此外,張(zhang)江高科(ke)、海立股份(fen)、凱美特氣等也(ye)漲(zhang)(zhang)停。

  張江高(gao)科受(shou)關注,主(zhu)要因為其(qi)曾投資(zi)上(shang)海(hai)微(wei)電子。張江高(gao)科于9月10日(ri)在投資(zi)者交(jiao)流平臺表示,公(gong)司(si)通(tong)過(guo)子公(gong)司(si)上(shang)海(hai)張江浩(hao)成創(chuang)業投資(zi)有(you)限公(gong)司(si)投資(zi)了上(shang)海(hai)微(wei)電子2.23億(yi)元,持有(you)上(shang)海(hai)微(wei)電子10.779%的股權,后續未增持或減持。

  東(dong)興證券稱,上海微(wei)電子是我國第一家也是目(mu)前(qian)唯一的(de)光刻機巨頭,出貨量占國內市場(chang)份(fen)額超過80%,產品主要涉及ArF、KrF和i-line領域,其已具備90nm及以下(xia)的(de)芯片制造能力。

  光刻機(ji)零部件(jian)方面,同飛(fei)股份曾在2023年中報表示,其液體恒溫設備在半(ban)導體制造(zao)設備領(ling)域,主要應用于光刻機(ji)、刻蝕機(ji)、研磨拋(pao)光機(ji)等關(guan)鍵設備的(de)溫度控制。

  不過,根據東興(xing)證(zheng)券(quan)研報(bao),光刻(ke)機核心組件包括光源系(xi)統(tong)(tong)(tong)、雙工作臺、物(wu)鏡(jing)系(xi)統(tong)(tong)(tong)、對準系(xi)統(tong)(tong)(tong)、曝光系(xi)統(tong)(tong)(tong)、浸沒系(xi)統(tong)(tong)(tong)、光柵系(xi)統(tong)(tong)(tong)等。配套(tao)設施包括光刻(ke)膠、掩膜(mo)版、涂膠顯影等。

  光(guang)源系統方面,據華金證券,針對準(zhun)激(ji)光(guang)光(guang)源,科益虹(hong)源主要研發248nm準(zhun)分子激(ji)光(guang)器、干(gan)式193nm準(zhun)分子激(ji)光(guang)器等;福晶(jing)科技研發KBBF晶(jing)體。

  另據東興證券,波長光電為國(guo)(guo)內激光光學(xue)元件的(de)主(zhu)要供(gong)應商,目(mu)前,公司已具備提供(gong)光刻機(ji)(ji)配(pei)套的(de)大孔徑光學(xue)鏡頭(tou)的(de)能(neng)力(li),公司成功開發的(de)光刻機(ji)(ji)平行(xing)光源系(xi)統可用于國(guo)(guo)產(chan)光刻機(ji)(ji)領域配(pei)套。

  波長光電曾于2023年9月15日披露投資(zi)者活(huo)動記錄表稱(cheng),公司在半導體(ti)領(ling)(ling)域(yu)主要涉及兩(liang)個領(ling)(ling)域(yu):一(yi)個是生產領(ling)(ling)域(yu),即曝光機、光刻,公司可(ke)(ke)提(ti)供大口徑光學鏡頭用(yong)于檢(jian)測(ce)(ce),做折反、投射,還可(ke)(ke)以提(ti)供平行(xing)光源系統;另一(yi)個是檢(jian)測(ce)(ce)領(ling)(ling)域(yu),公司可(ke)(ke)提(ti)供檢(jian)測(ce)(ce)設備上的光學元(yuan)件、組件,也可(ke)(ke)為客(ke)戶定制開發、裝調子系統。

  物(wu)鏡(jing)系統方面,東興(xing)證券稱(cheng),茂萊光學光刻機曝(pu)光物(wu)鏡(jing)超(chao)精密(mi)光學元件(jian)加工技(ji)術已實現產業(ye)化。

  東海(hai)證券研報顯示,中國(guo)(guo)(guo)2023年進(jin)(jin)口光(guang)刻機(ji)數量高達225臺(tai),進(jin)(jin)口金(jin)額高達87.54億美(mei)元(yuan),進(jin)(jin)口金(jin)額創(chuang)下(xia)歷史新(xin)高,預計3~5年內(nei)我國(guo)(guo)(guo)光(guang)刻機(ji)仍主要依(yi)賴進(jin)(jin)口。一(yi)臺(tai)先進(jin)(jin)的光(guang)刻機(ji)有(you)多達10萬個零部件(jian)(jian),整體來看我國(guo)(guo)(guo)半導體零組件(jian)(jian)的國(guo)(guo)(guo)產化(hua)率非常低,盡管在各個賽道均有(you)相關(guan)的國(guo)(guo)(guo)有(you)企業在不斷發(fa)展(zhan),但距離海(hai)外(wai)企業依(yi)然有(you)較大(da)的差距,同(tong)時也(ye)預示著國(guo)(guo)(guo)內(nei)零組件(jian)(jian)企業公司有(you)較大(da)的發(fa)展(zhan)機(ji)遇。

中證網聲明:凡本網注明“來源:中國證券報·中證網”的所有作品,版權均屬于中國證券報、中證網。中國證券報·中證網與作品作者聯合聲明,任何組織未經中國證券報、中證網以及作者書面授權不得轉載、摘編或利用其它方式使用上述作品。